CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PCI VERILOG

搜索资源列表

  1. PCI总线仲裁参考设计,Quicklogic提供

    0下载:
  2. PCI总线仲裁参考设计,Quicklogic提供的verilog代码-PCI bus arbitration reference design, pioneered the Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3480
    • 提供者:陈旭
  1. pci

    0下载:
  2. pci接口的verilog原代码,定义了pci接口所需要的全部引脚
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:4260
    • 提供者:david
  1. pci设计(verilog)

    1下载:
  2. pci设计verilog,可参考
  3. 所属分类:源码下载

    • 发布日期:2011-02-15
    • 文件大小:108449
    • 提供者:guolh_bj
  1. FPGA-PCI.rar

    6下载:
  2. 基于FPGA的PCI接口源代码及Testbench Verilog程序代码,fpag pci
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-04-19
    • 文件大小:468204
    • 提供者:lang
  1. arbiter.rar

    0下载:
  2. 一个用verilog编写的总线仲裁程序。多个设备共享总线,不同设备的优先级是变化的,保证每个设备都有公平的使用总线的机会。,Verilog prepared a bus with arbitration proceedings. Multiple devices share the bus, the priority of different devices is changing to ensure that each device will have a fair opportunity t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3542
    • 提供者:bao rui
  1. pci.tar.gz 完成WB BUS和PCI bus之间的传输

    1下载:
  2. verilog编写的PCI总线,提供了Wishbone bus和PCI local bus之间的接口,内由两个独立的模块组成,分别完成WB BUS和PCI bus之间的传输,The PCI IP core (PCI bridge) provides an interface between the WISHBONE SoC bus and the PCI local bus. It consists of two independent units, one handling transact
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2012-10-10
    • 文件大小:13253495
    • 提供者:yemao
  1. FPGA_SDRAM_PCI

    0下载:
  2. 一个基于FPGA的PCI数据采集程序,包括SDRAM控制,PCI9054时序控制,开发语言verilog,开发环境quartus-FPGA-based PCI data acquisition procedures, including SDRAM control, PCI9054 timing control, the development of language verilog, development environment quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2798324
    • 提供者:李国扬
  1. pci-transmission-interface-design

    0下载:
  2. pci传输的接口设计的verilog,未用桥接芯片-pci transmission interface design verilog, unused bridge chip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:465062
    • 提供者:yehanwei
  1. Verilog-pci

    3下载:
  2. PCI的FPGA实现,使用verilog硬件描述语言模拟pci数据接口的数据传输过程。-PCI simulation with FPGA, using the verilog hardware describing language to simulate data transfer processes on pci data interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-20
    • 文件大小:5510416
    • 提供者:zxc
  1. pci_verilog

    0下载:
  2. 一个pci接口的硬件描述语言的实现源代码,用verilog语言实现-a pci interface hardware descr iption language source code to achieve with verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:428408
    • 提供者:大为
  1. multi16

    0下载:
  2. verilog 写的两种方式的乘法器 不错!-Verilog write the multiplier in two ways good!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:7350
    • 提供者:rayax
  1. fpgaPCI

    1下载:
  2. fpga开发pci的verilog,不可多得的源代码。-FPGA development pci of verilog, rare source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:418617
    • 提供者:王军
  1. pci_t

    0下载:
  2. verilog开发的PCI target模块,能完成配置空间的读写以及单次的memory读写,原创。-Verilog development of PCI target module, to complete the reading and writing, as well as the configuration space of a single memory read and write, originality. Ha ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:10438
    • 提供者:齐培红
  1. PCI_arbi

    0下载:
  2. PCI arbi verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3147
    • 提供者:bulbul1225
  1. FPGA_PCI_DATA

    0下载:
  2. 一个基于FPGA的PCI数据发送程序,实现从计算机通过PCI9054向FPGA发送数据功能。开发语言verilog,开发环境quartus-FPGA-based PCI data distribution process, from the computer through the PCI9054 functions to send data to the FPGA. The development of language verilog, development environment qua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:236917
    • 提供者:李国扬
  1. pci-verilog

    0下载:
  2. USB及PCI总线设计的一些源代码(经测试)-USB and PCI bus design some of the source code
  3. 所属分类:USB develop

    • 发布日期:2014-09-01
    • 文件大小:431472
    • 提供者:tom
  1. pci_target

    1下载:
  2. pci target design verilog file
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:53725
    • 提供者:peter
  1. LIP4301CORE_PCI

    0下载:
  2. PCI Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:167830
    • 提供者:jc
  1. pci32lite_oc

    0下载:
  2. PCI 32bit Slave Verilog 代码-PCI 32bit Slave Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:18547
    • 提供者:chen
  1. PCI-dio

    0下载:
  2. 基于PCI的DIO接口程序,包括verilog源程序、驱动源程序以及寄存器说明文件-PCI-DIO-based interface program, including the verilog source code, driver source code and documentation register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2308880
    • 提供者:zifeng
« 12 3 4 »
搜珍网 www.dssz.com